Welcome![Sign In][Sign Up]
Location:
Search - feedback vhdl

Search list

[Communication伪随机序列

Description: 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and circuit schematics. Procedures can run on platforms win98/2000/NT
Platform: | Size: 163107 | Author: 夏沫 | Hits:

[Communication伪随机序列

Description: 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and circuit schematics. Procedures can run on platforms win98/2000/NT
Platform: | Size: 162816 | Author: 夏沫 | Hits:

[OtherSC-DSC

Description: 数字通信系统的设计及其性能和所传输的数字信号的统计特性有关。所谓 加扰技术,就是不增加多余度而扰乱信号,改变数字信号的统计特性,使其近 似于白噪声统计特性的一种技术。这种技术的基础是建立在反馈移位寄存器序 列(伪随机序列)理论之上的。解扰是加扰的逆过程,恢复原始的数字信号。 如果数字信号具有周期性,则信号频谱为离散的谱线,由于电路的非线 性,在多路通信系统中,这些谱线对相邻信道的信号造成串扰。而短周期信号 经过扰码器后,周期序列变长,谱线频率变低,产生的非线性分量落入相邻信 道之外,因此干扰减小。 在有些数字通信设备中,从码元“0”和“1”的交变点提取定时信息,若 传输的数字信号中经常出现长的“1”或“0”游程,将影响位同步的建立和保 持。而扰码器输出的周期序列有足够多的“0”、“1”交变点,能够保证同步 定时信号的提取。 -digital communication system design and performance and the transmission of digital signals on the statistical characteristics. The so-called scrambling technology is not to increase the degree to disrupt redundant signal, digital signal change the statistical properties it is similar to white noise statistical characteristics of a technology. This technology is based on feedback shift register sequences (pseudo-random sequence) of the above theory. Decryption is the reverse of the scrambling process, the restoration of the original digital signal. If the digital signal is cyclical, the signal spectrum of discrete lines, as the nonlinear circuit, in multi-channel communication system, these lines of the adjacent channel signal causing crosstalk. And the short-cycle signal after scrambling
Platform: | Size: 113664 | Author: 葛岭泉 | Hits:

[Program docFPGAImplementationof16QAMDemodulator

Description: 描述了一个用于微波传输设备的16QAM接收机解调芯片的FPGA实现,芯片集成了定时恢复、载波恢复和自适应盲判决反馈均衡器(DFE),采用恒模算法(CMA)作为均衡算法。芯片支持高达25M波特的符号速率,在一片EP1C12Q240C8(ALTERA)上实现,即将用于量产的微波传输设备中。 -Describes a microwave transmission equipment for 16QAM receiver demodulator chip FPGA realization of an integrated chip timing recovery, carrier recovery and blind adaptive decision feedback equalizer (DFE), using constant modulus algorithm (CMA) as the equalization algorithm. Chip supports up to 25M baud symbol rate, in the midst of EP1C12Q240C8 (ALTERA) achieved for the upcoming production of microwave transmission equipment.
Platform: | Size: 281600 | Author: 萝卜 | Hits:

[VHDL-FPGA-VerilogLFSR

Description: 自动生成线形反馈移位寄存器的各种HDL源代码和原理图的工具-Automatic generation of linear feedback shift register of a variety of HDL source code and schematic tools
Platform: | Size: 162816 | Author: zx | Hits:

[VHDL-FPGA-VerilogDE2_VGA3

Description: The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow a random walker to bounce around the screen until it hits the pixel at the center. It then sticks and a new walker is started randomly at one of the 4 corners of the screen. The random number generators for x and y steps are XOR feedback shift registers (see also Hamblen, Appendix A). The VGA driver, PLL, and reset controller from the DE2 CDROM are necessary to compile this example. Note that you must push KEY0 to start the state machine. -The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow a random walker to bounce around the screen until it hits the pixel at the center. It then sticks and a new walker is started randomly at one of the 4 corners of the screen. The random number generators for x and y steps are XOR feedback shift registers (see also Hamblen, Appendix A). The VGA driver, PLL, and reset controller from the DE2 CDROM are necessary to compile this example. Note that you must push KEY0 to start the state machine.
Platform: | Size: 1275904 | Author: Donghua Gu | Hits:

[VHDL-FPGA-Verilogfcsr

Description: 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
Platform: | Size: 1024 | Author: 李辛 | Hits:

[VHDL-FPGA-Verilogabs_code

Description: 这是用CPLD开发的读取绝对式编码器反馈的信号的代码,读取电机的转子的绝对位置和判断转动方向对于电机控制很实用。-This is read by the CPLD Development absolute encoder feedback signal to the code, read the motor' s rotor position and to determine the absolute direction of rotation is very useful for motor control.
Platform: | Size: 1602560 | Author: dengzhaoyun | Hits:

[VHDL-FPGA-VerilogSR_Latch

Description: RS_latch using vhdl, When using static gates as building blocks, the most fundamental latch is the simple SR latch, where S and R stand for set and reset. It can be constructed from a pair of cross-coupled NOR (Not OR) logic gates. The stored bit is present on the output marked Q. Normally, in storage mode, the S and R inputs are both low, and feedback maintains the Q and Q outputs in a constant state, with Q the complement of Q. If S (Set) is pulsed high while R is held low, then the Q output is forced high, and stays high when S returns to low similarly, if R (Reset) is pulsed high while S is held low, then the Q output is forced low, and stays low when R returns to low.-RS_latch using vhdl, When using static gates as building blocks, the most fundamental latch is the simple SR latch, where S and R stand for set and reset. It can be constructed from a pair of cross-coupled NOR (Not OR) logic gates. The stored bit is present on the output marked Q. Normally, in storage mode, the S and R inputs are both low, and feedback maintains the Q and Q outputs in a constant state, with Q the complement of Q. If S (Set) is pulsed high while R is held low, then the Q output is forced high, and stays high when S returns to low similarly, if R (Reset) is pulsed high while S is held low, then the Q output is forced low, and stays low when R returns to low.
Platform: | Size: 354304 | Author: Seungyun | Hits:

[VHDL-FPGA-VerilogElectronic_Calendar_Based_On_FPGA

Description: 本项目主要是利用FPGA技术实现电子日立的功能,显示年月日星期,显示格式为:“年. 月. 日. 星期”,其中年月日星期均为可调电路。该项目共有七个模块:星期控制电路、日期控制电路、月份控制电路、年份控制电路、选择月份电路、扫描显示电路和调节电路。总体思路是:星期和日期控制电路共用一个脉冲信号;日期的进位反馈给调节电路,再通过调节电路中的开关控制选择月份和月份控制电路的脉冲信号,以起到随时调节月份的作用;同理,月份控制电路的进位反馈给调节电路以随时调节年份。-The project is mainly the use of FPGA technology to achieve the functions of e-Hitachi, showing date week display format: "year. On. Day. Weeks", which are adjustable date-week circuit. A total of seven modules of the project: week control circuit, the date of the control circuit, control circuit of the month, year, control circuit, select the month of the circuit, scan display circuit and regulating circuit. The general idea is: the date a week and share a pulse control circuit signal date back to the binary-conditioning circuit, and then by adjusting the switch control circuit to choose the month and the month of the pulse signal control circuit, at any time to play a role in regulation of the month with the rationale for, the month of binary control circuit to adjust the feedback circuit to adjust the year at any time.
Platform: | Size: 43008 | Author: xiaoxu | Hits:

[SCMhighfrequence

Description: 按键控制,LCD12864显示,指示灯反馈,硬件原理图和VHDL语言部分没有上传-Button control, LCD12864 show that light feedback, hardware schematics and VHDL language part has not been uploaded
Platform: | Size: 48128 | Author: | Hits:

[Multimedia programPCM

Description: 采用13折线A率的PCM编码,逐次反馈型编码器。-A broken line 13 the rate of use of PCM encoding, successive feedback encoder.
Platform: | Size: 655360 | Author: | Hits:

[VHDL-FPGA-Verilogwtut_sc

Description: DCM includes a clock delay locked loop used to minimize clock skew for Spartan-3, Virtex-II, Virtex-II Pro, and Virtex-II Pro X devices. DCM synchronizes the clock signal at the feedback clock input (CLKFB) to the clock signal at the input clock (CLKIN). The locked output (LOCKED) is high when the two signals are in phase. The signals are considered to be in phase when their rising edges are within a specified time (ps) of each other.
Platform: | Size: 106496 | Author: shad | Hits:

[Embeded Linux83390078DDS

Description: DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works the way we are digitally controlled oscillator frequency, phase controlled sine wave. Circuits generally include reference clock, frequency accumulator, phase accumulator, amplitude/phase converter circuit, D/A converter and low-pass filter (LPF). The frequency accumulator to accumulate the input signal operation to produce the frequency control data X (frequency data or phase stepping volume). From the N-bit phase accumulator and the N-bit full adder cascade accumulation register is made on behalf of the frequency of the two binary codes accumulation operation, is a typical feedback circuit, resulting in cumulative results of Y. Amplitude/phase converter circuit is essentially a waveform register for look-up table to use. Read out the data into the D/A converter and low pass filter.
Platform: | Size: 44032 | Author: 394177191 | Hits:

[Windows DevelopLFSR

Description: verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) module. Has passed modelsim simulation.
Platform: | Size: 870400 | Author: 风影 | Hits:

[Software EngineeringGeneratingFPGA-AcceleratedDFTLibraries

Description: 关于DFT的文章,应用FPGA实现傅立叶变换。-Abstract—We present a domain-specific approach to generate high-performance hardware-software partitioned implementations of the discrete Fourier transform (DFT). The partitioning strategy is a heuristic based on the DFT’s divide-and-conquer algorithmic structure and fine tuned by the feedback-driven exploration of candidate designs. We have integrated this approach in the Spiral linear-transform code-generation framework to support push-button automatic implementation. We present evaluations of hardware-software DFT implementations running on the embedded PowerPC processor and the reconfigurable fabric of the Xilinx Virtex-II Pro FPGA. In our experiments, the 1D and 2D DFT’s FPGA-accelerated libraries exhibit between 2 and 7.5 times higher performance (operations per second) and up to 2.5 times better energy efficiency (operations per Joule) than the software-only version.
Platform: | Size: 235520 | Author: 李然 | Hits:

[VHDL-FPGA-Verilogm

Description: 由20位移位寄存器线性反馈产生的m序列的vhdl代码-20-bit shift register linear feedback sequence generated vhdl code m
Platform: | Size: 3072 | Author: 李修函 | Hits:

[VHDL-FPGA-VerilogCRCDecoding

Description: CRC检错程序。只能检错不能纠错。(40,32)的分组码检错,反馈函数:x8+x7+x4+x3+x+1-CRC error detection process. Not only error detection correction. (40,32) and block code error detection, feedback function: x8+ x7+ x4+ x3+ x+1
Platform: | Size: 147456 | Author: 李雪茹 | Hits:

[VHDL-FPGA-VerilogFeedback-control-module-VHDL-code

Description: 此为基于FPGA的直流伺服系统的设计,具体为反馈控制模块的VHDL代码-This is the dc servo system based on FPGA design, specific for feedback control module VHDL code
Platform: | Size: 9216 | Author: 黄平 | Hits:

[VHDL-FPGA-Verilogvhdl_rand

Description: Linear Feedback Shift Register (LFSR)/Random number generator
Platform: | Size: 113664 | Author: sheldon01 | Hits:
« 12 »

CodeBus www.codebus.net